科研成果

2023
Zhang H, Li H, Zhang S, Zhang Y, Shen L, Tan Z, Ye L. A High-Sensitivity Large-Dynamic-Range Current-Domain Continuous-Time Zoom ADC for Current-Sensing Front-End. IEEE Sensors Journal. 2023;23:401-413.
Zhang Y, You Y, Ren W, Xu X, Shen L, Ru J, HUANG R, Ye L. 3.8 A 0.954nW 32kHz Crystal Oscillator in 22nm CMOS with Gm-C-Based Current Injection Control, in 2023 IEEE International Solid- State Circuits Conference (ISSCC).; 2023:68-70.
Jing Y, Wang Z, Shen L, Zhang Y, Chen P, Ru J, Ye L. An Information-Aware Adaptive Data Acquisition System using Level-Crossing ADC with Signal-Dependent Full Scale and Adaptive Resolution for IoT Applications, in 2023 IEEE International Symposium on Circuits and Systems (ISCAS).; 2023:1-4.
Liu Y, Ma Y, He W, Wang Z, Shen L, Ru J, HUANG R, Ye L. An 82-nW 0.53-pJ/SOP Clock-Free Spiking Neural Network With 40-μs Latency for AIoT Wake-Up Functions Using a Multilevel-Event-Driven Bionic Architecture and Computing-in-Memory Technique. IEEE Transactions on Circuits and Systems I: Regular Papers. 2023;70:3075-3088.
Gao J, Shen L, Li H, Ye S, Li J, Xu X, Cui J, Gao Y, HUANG R, Ye L. 23.1 A 7.9fJ/Conversion-Step and 37.12aFrms Pipelined-SAR Capacitance-to-Digital Converter with kT/C Noise Cancellation and Incomplete-Settling-Based Correlated Level Shifting, in 2023 IEEE International Solid- State Circuits Conference (ISSCC).; 2023:346-348.
Chen P, Wu M, Zhao W, Cui J, Wang Z, Zhang Y, Wang Q, Ru J, Shen L, Jia T, et al. 7.8 A 22nm Delta-Sigma Computing-In-Memory (Δ∑CIM) SRAM Macro with Near-Zero-Mean Outputs and LSB-First ADCs Achieving 21.38TOPS/W for 8b-MAC Edge AI Processing, in 2023 IEEE International Solid- State Circuits Conference (ISSCC).; 2023:140-142.
Gao X, Zhang H, Liu M, Shen L, Pan DZ, Lin Y, WANG R, HUANG R. Interactive Analog Layout Editing With Instant Placement and Routing Legalization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2023;42:698-711.
Xin X, Shen L, TANG X, Shen Y, Cai J, Tong X, Sun N. A Power-Efficient 13-Tap FIR Filter and an IIR Filter Embedded in a 10-Bit SAR ADC. IEEE Transactions on Circuits and Systems I: Regular Papers. 2023;70:2293-2305.
2022
Zhang H, Shen L, Zhang S, Li H, Zhang Y, Tan Z, HUANG R, Ye L. A 77μW 115dB-Dynamic-Range 586fA-Sensitivity Current-Domain Continuous-Time Zoom ADC with Pulse-Width-Modulated Resistor DAC and Background Offset Compensation Scheme, in 2022 IEEE Custom Integrated Circuits Conference (CICC).; 2022:1-2. 访问链接Abstract
High-precision large dynamic-range (DR) current-sensing front-ends are widely used in biomedical applications, such as patch-clamp, molecular concentration detection, and gene sequencing. The new gene sequencers require low-noise analog front-ends capable of sensing large DR current (>100 dB) down to sub-pA-level. At this level of precision, oversampled data converters are usually used. However, given the limited oversampling ratio in high throughput applications, it is very challenging to achieve a sub-pA-level sensitivity and >100dB DR within the limited area and energy budgets [1]. In [2], a 140dB DR is achieved using a multi-bit delta-sigma modulator (DSM), but the power consumption is over 1mW and the current sensitivity is limited to 6.3pA. An hourglass ADC achieving a 100fA sensitivity and 140dB DR is presented in [3], but is limited by conversion rate and relatively high power consumption (295μW). For a 100Hz bandwidth, its noise floor increases to 18pA.
Liu Y, Wang Z, He W, Shen L, Zhang Y, Chen P, Wu M, Zhang H, Zhou P, Liu J, et al. An 82nW 0.53pJ/SOP Clock-Free Spiking Neural Network with 40µs Latency for AloT Wake-Up Functions Using Ultimate-Event-Driven Bionic Architecture and Computing-in-Memory Technique, in 2022 IEEE International Solid- State Circuits Conference (ISSCC).Vol 65.; 2022:372-374.
Xu X, Ye S, Gao J, Zhang Y, Shen L, Ye L. A 32-ppm/°C 0.9-nW/kHz Relaxation Oscillator with Event-Driven Architecture and Charge Reuse Technique, in 2022 IEEE International Symposium on Circuits and Systems (ISCAS).; 2022:1973-1977.
Gao X, Zhang H, Liu M, Shen L, Pan DZ, Lin Y, WANG R, HUANG R. Interactive Analog Layout Editing with Instant Placement and Routing Legalization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022:1-1.Abstract
Analog layout design is still primarily reliant on manual efforts. Current fully automated workflows are unable to meet the expectations for flexible customization and are incompatible with existing manual workflows. For both performance and productivity, interactive layout editing has the ability to bridge the gap between manual and automated flows. We present an interactive layout editing system in this study that includes well-defined commands for both placement and routing customization. This is a pioneering work that provides a holistic study on the interactive design methodology for analog layouts and its capability of speeding up design closure. Our framework comes up with instant placement legalization and routing adjustment mechanism for rapid layout update and modification. The framework is capable of handling realtime user interaction and improving the performance of fully automated layout generators verified by post-layout simulation on real-world analog designs. Experimental results demonstrate the performance enhancement on real-world analog designs with only a few editing commands. As examples, on the low-dropout regulator, our framework can reduce the overshot down and up voltage to nearly 1=3 of layout generated by automation tool with two editing commands, and on the operational transconductance amplifier, it achieves 33:5% better common mode rejection ratio with only one command.
Shi W, Liu J, Mukherjee A, Yang X, TANG X, Shen L, Zhao W, Sun N. A 3.7mW 12.5MHz 81dB-SNDR 4th-Order Continuous-time DSM with Single-OTA and 2nd-Order Noise-shaping SAR. IEEE Open Journal of the Solid-State Circuits Society. 2022:1-1.Abstract
This paper presents a hybrid 4th-order delta-sigma modulator (DSM). It combines a continuous-time (CT) loop filter and a discrete-time (DT) passive 2nd-order noise-shaping SAR (NSSAR). Since the 2nd-order NS-SAR is robust against PVT variation, the stability of this 4th-order DSM is similar to that of a 2nd-order CT-DSM. The CT loop filter is based on single-amplifier bi-quad (SAB) structure. As a result, only one OTA is used to achieve 4th-order noise shaping, leading to a high power efficiency. Moreover, this work implements both excess loop delay (ELD) compensation and an input feedforward path inside the NS-SAR in the charge domain, further reducing the circuit complexity and the OTA power. Overall, this work achieves 81 dB SNDR over 12.5 MHz with 3.7 mW power, leading to a Schreier FoM of 176 dB.
Zhang Y, Xue C, Wang X, Liu T, Gao J, Chen P, Liu J, Sun L, Shen L, Ru J, et al. Single-Mode CMOS 6T-SRAM Macros With Keeper-Loading-Free Peripherals and Row-Separate Dynamic Body Bias Achieving 2.53fW/bit Leakage for AIoT Sensing Platforms, in 2022 IEEE International Solid- State Circuits Conference (ISSCC).Vol 65.; 2022:184-186.
2021
Wang Z, Zhang H, Zhang Y, Shen L, Ru J, Fan H, Tan Z, Wang Y, Ye L, HUANG R. A Software-Defined Always-On System With 57–75-nW Wake-Up Function Using Asynchronous Clock-Free Pipelined Event-Driven Architecture and Time-Shielding Level-Crossing ADC. IEEE Journal of Solid-State Circuits. 2021;56:2804-2816.Abstract
This work presents an ultra-low-power software-defined always-on wake-up system to drastically decrease the system power of Internet of Things (IoTs) devices, which usually operate in random-sparse-event (RSE) scenarios. It mainly thanks to a clock-free time-shielding level-crossing ADC (TS-LCADC), software-defined clock-free multi-function detectors, and an asynchronous pipelined event-driven architecture. First, by quantifying RSE noisy signals with clock-free adaptive sampling in a signal-noise-rejecting manner, the proposed TS-LCADC reduces number of sampling points and power, and consumes only 41 nW when on-call waiting for IoT events. Second, the proposed clock-free multi-function detectors with offline and online programmability are able to character the signal features of versatile IoTs events and allow versatile and dynamic wake-up functions. Third, the proposed asynchronous pipelined event-driven architecture minimizes the system activity and thus power, because a power-hungry high-performance system (HPS) is only woken up when a detected parameter crosses its corresponding threshold. As such, the long-term average power (LTA-power) is dominated by the always-on circuits in RSE scenarios. The measurement results achieve 71–75 nW for three typical applications, i.e., heart rate, epilepsy, and keyword envelope detection. The LTA power is only 57 nW when waiting for RSE events, which is 30 $\times $ lower than a prior general-purpose wake-up chip. Compared with other works of dedicated voice and acoustic wake-up functions, this work consumes 2 $\times $ and 17 $\times $ less power, respectively, while featuring 16 $\times $ higher signal bandwidth and a broader versatility.
Wang Z, Liu Y, Zhou P, Tan Z, Fan H, Zhang Y, Shen L, Ru J, Wang Y, Ye L, et al. A 148-nW Reconfigurable Event-Driven Intelligent Wake-Up System for AIoT Nodes Using an Asynchronous Pulse-Based Feature Extractor and a Convolutional Neural Network. IEEE Journal of Solid-State Circuits. 2021;56:3274-3288.Abstract
This article presents a 148-nW always-on wake-up system that drastically reduces the system power consumption of Internet of Things (IoT) sensor nodes while oftentimes operating in random-sparse-event (RSE) scenarios. To significantly reduce the long-term average (LTA) power consumption and realize multiapplication and intelligent event detection, three techniques are proposed: 1) In a three-stage pipelined event-driven architecture, a frame generator and a convolutional neural network intelligent inference engine (CNN IIE) in stage III are event-driven by the preliminary detectors in stage II, and the detectors are triggered by a level-crossing (LC) analog-to-digital converter (ADC), i.e., stage I, dramatically reducing the overall power consumption. 2) The clock-free pulse-based instant rate of change (IROC) feature extractor directly processes the asynchronous pulses of the LC-ADC outputs in the temporal domain instead of utilizing a conventional power-hungry frequency-domain method. 3) A reconfigurable IROC, the frame generator, and the CNN IIE provide adaptive intelligence for various IoT events, enhancing the accuracy of multipurpose detection with ultralow power. We demonstrate two artificial intelligence IoT (AIoT) applications at 0.6-V VDD. For electrocardiogram (ECG) recognition, one example works at a typical event rate (ER) of  4800/h, with an active power of 1.68 $μ \textW$ and a precision of up to 99%; the other is used for keyword spotting (KWS), where the chip achieves 378 nW at  720/h ER and 94% accuracy. The LTA power is bounded to 148 nW, while the event-driven chip is on call and waiting for events; this chip dominates the AIoT device battery life in RSE scenarios.
Mukherjee A, Gandara M, Yang X, Shen L, TANG X, Hsu C-K, Sun N. A 74.5-dB Dynamic Range 10-MHz BW CT-ΔΣ ADC With Distributed-Input VCO and Embedded Capacitive-π Network in 40-nm CMOS. IEEE Journal of Solid-State Circuits. 2021;56:476-487.Abstract
This work introduces a second-order voltage-controlled oscillator (VCO)-based continuous-time delta-sigma modulator (CTDSM) that incorporates a distributed-input VCO as the second-stage integrator and quantizer. The distributed-input VCO topology virtually eliminates the VCO's voltage-to-frequency (V-F) parasitic pole. One of the key ideas of this article is to demonstrate the use of a capacitive-π network in the modulator's loop filter to break the constraint between the size of the modulator's inner capacitive digital-to-analog converter (DAC) and the factor by which the front-end Gm-C integrator is impedance scaled. This, in turn, helps to significantly reduce both analog and digital powers. The prototype chip has been fabricated in a 40-nm CMOS process. Despite not using any DAC calibration or explicit dynamic element matching (DEM) circuits, the worst case spurious-free dynamic range (SFDR) is -82 dBc across the signal bandwidth. The fabricated CTDSM achieves a 71.8-dB signal-to-noise-and-distortion ratio (SNDR) and a 74.5-dB dynamic range (DR) in a 10-MHz bandwidth at 655 MS/s, yielding an SNDR-based Walden figure of merit (FoM) of 45.6 fJ/step, an SNDR-based Schreier FoM of 167.2 dB, and a DR-based Schreier FoM of 169.9 dB.
Ye L, Wang Z, Liu Y, Chen P, Li H, Zhang H, Wu M, He W, Shen L, Zhang Y, et al. The Challenges and Emerging Technologies for Low-Power Artificial Intelligence IoT Systems. IEEE Transactions on Circuits and Systems I: Regular Papers. 2021;68:4821-4834.Abstract
The Internet of Things (IoT) is an interface with the physical world that usually operates in random-sparse-event (RSE) scenarios. This article discusses main challenges of IoT chips: power consumption, power supply, artificial intelligence (AI), small-signal acquisition, and evaluation criteria. To overcome these challenges, many works recently aimed at IoT system design have emerged. This work reviews the architecture and circuit innovations that have contributed to IoT developments. This paper does not cover security of IoT. Event-driven architectures and nonuniform sampling ADCs significantly reduce the long-term average power. Besides, embedding AI engines in IoT nodes (AIoT) is one critical trend. The computing-in-memory technique improves the energy efficiency of the AI engine. Asynchronous spike neural networks (ASNNs) AI engines show low power potential. In addition to data processing, small-signal acquisition is also critical. The charge-domain analog-front-end (AFE) techniques such as floating inverter-based amplifiers improve energy efficiency. In addition to the above low power and high energy efficiency technologies, energy harvesting can also enhance the lifetime of AIoT devices. This article discusses recent ambient RF and natural energy harvesting approaches and high-efficiency DC-DC with a wide load range. Finally, novel evaluation criteria are introduced to establish benchmark standards for AIoT chips.
Li H, Tan Z, Bao Y, Xiao H, Zhang H, Du K, Shen L, Ru J, Zhang Y, Ye L, et al. Energy-Efficient CMOS Humidity Sensors Using Adaptive Range-Shift Zoom CDC and Power-Aware Floating Inverter Amplifier Array. IEEE Journal of Solid-State Circuits. 2021;56:3560-3572.Abstract
This article presents an adaptive zoom-capacitance-to-digital converter (CDC)-based CMOS humidity sensor. The humidity sensor is realized by means of two differential capacitors whose dielectrics are sensitive to humidity. The sensing capacitors are interfaced with a zoom CDC, which consists of a successive-approximation-register (SAR) analog-to-digital converter (ADC) and a 3rd-order delta–sigma modulator ( $Δ Σ \textM$ ). The SAR ADC eliminates the influence of the baseline capacitance to reduce the input range of the $Δ Σ \textM$ . To improve the energy efficiency of the CDC across the full input range, a power-aware floating inverter amplifier (FIA) array is proposed, which is configured based on the conversion results of the SAR logic. In addition, an adaptive range-shift (ARS) zoom CDC is proposed to: 1) resist off-chip parasitics and interference and 2) allow low redundancy and a more energy-efficient FIA-based comparator, thus reducing power consumption. The proposed CMOS humidity sensor is implemented in a 0.11- $μ \textm$ CMOS process. Measurement results show a capacitance resolution of 17.9 aF and an effective number of bits (ENOB) of 14.0 within a conversion time of 1.01 ms. The proposed humidity sensor consumes 1.5 $μ \textW$ of power and exhibits a 0.0094 % relative humidity (RH) resolution and a ±1.5 %RH peak-to-peak accuracy (3 $\sigma $ error of 5.5 %RH) among 12 chips from 20 to 85 %RH, and it achieves a figure of merit (FoM) of 0.135 pJ $\cdot $ %RH2, which is more than six times better than the state of the art.
\textbfShen \textbfL, Gao Z, Yang X, Shi W, Sun N. [2021.ISSCC].27.7 A 79dB-SNDR 167dB-FoM Bandpass ΔΣ ADC Combining N-Path Filter with Noise-Shaping SAR, in 2021 IEEE International Solid- State Circuits Conference (ISSCC).Vol 64.; 2021:382-384.

Pages