科研成果 by Year: 2023

2023
Liu#, Ying, Yufei Ma#*, Wei He, Zhixuan Wang, Linxiao Shen, Jiayoon Ru, Ru HUANG, and Le Ye*. “An 82-nW 0.53-pJ/SOP Clock-Free Spiking Neural Network With 40-μs Latency for AIoT Wake-Up Functions Using a Multilevel-Event-Driven Bionic Architecture and Computing-in-Memory Technique.” IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2023). Links
Liu, Ying, Zhiyuan Chen, Zhixuan Wang, Wentao Zhao, Wei He, Jianfeng Zhu, Qijun Wang, et al.. “A 22nm 0.43pJ/SOP Sparsity-Aware In-Memory Neuromorphic Computing System with Hybrid Spiking and Artificial Neural Network and Configurable Topology.” IEEE Custom Integrated Circuits Conference (CICC), 2023. Links
Jing, Yiqi, Yiyang Sun, Xiao Wang, Wentao Zhao, Meng Wu, Fengyun Yan, Yufei Ma, Le Ye, and Tianyu Jia. “DCIM-3DRec: A 3D Reconstruction Accelerator with Digital Computing-in-Memory and Octree-Based Scheduler.” IEEE/ACM Int. Symp. on Low Power Electronics and Design (ISLPED), 2023. Links
Dong, Yanchi, Tianyu Jia*, .., Yufei Ma, Yun Liang, Le Ye*, and Ru HUANG. “A Model-Specific End-to-End Design Methodology for Resource-Constrained TinyML Hardware.” ACM/IEEE Design Automation Conference (DAC), 2023. Links
Chen, Peiyu, Meng Wu, Yufei Ma*, Le Ye*, and Ru HUANG. “RIMAC: An Array-level ADC/DAC-free ReRAM-based In-MemoryDNN Processor with Analog Cache and Computation.” Asia and South Pacific Design Automation Conference (ASP-DAC), 2023. Links
Chen#, Peiyu, Meng Wu#, ..., Yufei Ma*, Le Ye*, and Ru HUANG. “A 22-nm Delta-Sigma Computing-In-Memory (ΔΣCIM) SRAM Macro with Near-Zero-Mean Outputs and LSB-First ADCs Achieving 21.38TOPS/W for 8b-MAC Edge AI Processing.” IEEE International Solid-State Circuits Conference (ISSCC 2023), 2023. Links