科研成果 by Type: Conference Paper

2024
Shao H, Fu B, Yang J, Luo W, Su C, Fu Z, Tang K, HUANG R. IMCE: An In-Memory Computing and Encrypting Hardware Architecture for Robust Edge Security, in 2024 Design, Automation & Test in Europe Conference & Exhibition (DATE).; 2024:1-6.
Zhu R, Zhou Y, Sun C, Huang W, Dong J, HUANG R, Tang K. Improved Memory Density and Endurance by a Novel 1T3C FeFET for BEOL Multi-level Cell Memory, in 2024 8th IEEE Electron Devices Technology & Manufacturing Conference (EDTM).; 2024:1-3.
Chen Z, Ma Y, Li K, Jia Y, Li G, Wu M, Jia T, Ye L, HUANG R. An In-Memory Computing Accelerator with Reconfigurable Dataflow for Multi-Scale Vision Transformer with Hybrid Topology, in Design Automation Conference (DAC).; 2024.
Jing Y, Sun Y, Wu M, Zhu Z, Zhou J, HUANG R, Ye L, Jia T. NeRF-Learner: A 2.79mJ/Frame NeRF-SLAM Processor with Unified Inference/Training Compute-in-Memory for Large-Scale Neural Rendering, in 50th European Solid-State Electronics Research Conference (ESSERC).; 2024.
Zhou Y, HUANG R, Tang K. A Novel Hybrid-FE-layer FeFET with Enhanced Linearity for On-chip Training of CIM Accelerator, in 2024 8th IEEE Electron Devices Technology & Manufacturing Conference (EDTM).; 2024:1-3.
Wu C-Y. “Obey…for the Common Good”: Building a Sense of Community in the Bakers’ Strike Edict, in Community and Communication in Classical Antiquity:第13届中日韩三国欧洲古代史学术研讨会,2024 年 10 月 17-20 日. Fudan University, Shanghai; 2024.Abstract
This paper discusses the so-called Bakers’ Strike Edict from Ephesus  (Ephesos 231 = IK 12.215 p. 27) in light of recent studies on  the Roman imperial toolkit to build empire-wide communities. Clifford Ando and Myles Lavan argued that Roman emperors in the first two centuries CE were consciously blurring distinctions between Roman and non-Roman populations, so that there could be a shared sense of an empire-wide community among people in the provinces.  This paper argues that, in addition to Lavan’s observations, gubernatorial edicts also show concerns and measures that sought to communicate a sense of the communal at the local level. While the focus of discussion is on the edict responding to a bakers’ strike at Ephesus, several other examples from a corpus of gubernatorial edicts are also used in connection with this example. This paper hopes to contribute to Ando’s and Lavan’s arguments by pointing to a lower register of community building visible in gubernatorial edicts. The governors’ concerns for and efforts to creating communal cohesion and their need to balance parallel and at times competing “common goods” not only adds another nuance to the grander community building project at the imperial level, but demonstrates further complications on how praesidial governors – and in particular proconsuls – can and would react to difficult issues at the local level.
Qiu Y, Ma Y, Wu M, Jia Y, Qu X, Zhou Z, Lou J, Jia T, Ye L, HUANG R. Quartet: A 22nm 0.09mJ/inference digital compute-in-memory versatile AI accelerator with heterogeneous tensor engines and off-chip-less dataflow, in IEEE Custom Integrated Circuit Conference (CICC).; 2024.
Zhou Y, Huang W, Zhu R, HUANG R, Tang K. A Reliable 2 bit MLC FeFET with High Uniformity and 109 Endurance by Gate Stack and Write Pulse Co-optimization, in 2024 IEEE European Solid-State Electronics Research Conference (ESSERC).; 2024:657-660.
Wu M, Ren W, Chen P, Zhao W, Jing Y, Ru J, Wang Z, Ma Y, HUANG R, Jia T, et al. S2D-CIM: A 22nm 128Kb systolic digital compute-in-memory macro with domino data path for flexible vector operation and 2-D weight update in edge AI applications, in IEEE Custom Integrated Circuit Conference (CICC).; 2024.
Wang B, Xu X, Zhang Z, Zhu H, Yan Y, Wu X, Chen J*. Self-supervised speech representation and contextual text embedding for match-mismatch classification with EEG recording, in arXiv; 2024. 访问链接
Wang B, Xu X, Zhang L, Xiao B, Wu X, Chen J*. Semantic Reconstruction of Continuous Language from MEG Signals, in ICASSP 2024 - 2024 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).; 2024:2190–2194. 访问链接
Li M, Zhi Q, Dong Y, Ye L, Jia T. SPARK: An Efficient Hybrid Acceleration Architecture with Run-Time Sparsity-Aware Scheduling for TinyML Learning, in Design Automation Conference (DAC).; 2024.
Yuan Z, Gao S, Wu X, Qu T. Spatial Covariant Matrix based Learning for DOA Estimationin Spherical Harmonics Domain, in the AES 156th Convention. Madrid, Spain; 2024:10701.Abstract
Direction of arrival (DoA) estimation in complex environments is a challenging task. The traditional methods suffer from invalidity under low signal-to-noise ratio (SNR) and reverberation conditions, and the data-driven methods lack of generalization to unseen data types. In this paper we propose a robust DoA estimation approach by combining the two methods above. To focus on spatial information modeling, the proposed method directly uses the compressed covariance matrix of the first-order ambisonics (FOA) signal as input, while only white noise is used during training. To adapt to different characteristics of FOA signals in different frequency bands, our method estimates DoA in different frequency bands by particular models, and the subband results are finally integrated together. Experiments are carried out on both simulated and measured datasets, and the results show the superiority of the proposed method than existing baselines under complex conditions and the scalability for unseen data types.
Liu T. A three-dimensional reservoir-scale Thermal-Hydrological-Mechanical model of enhanced geothermal systems, in Interpore 2024.; 2024. 访问链接Abstract
The heat energy resource in the deep earth (3 ∼10 km), which is carried by Hot Dry Rocks (HDR), has a huge capacity for geothermal power generation. As a type of conductive geothermal energy, HDR has low rock permeability, so that Enhanced/Engineered Geothermal System (EGS) is developed to artificially increase the heat exchange area and further extract the deep geothermal energy with the connected natural fractures and hydraulic stimulated fracture network. The coupled Thermal-Hydrological-Mechanical (THM) processes largely control the heat recovery efficiency from HDR, and thus real 3D reservoir scale investigations that account for the multiphysics coupling mechanisms are needed to inform geothermal energy recovery from HDR.In this work, we built a three-dimensional THM model for the EGS of Qiabuqia HDR (Zhang et al. 2018, Gonghe Basin, China) by taking advantage of the novel simulation framework, GEOSX (Settgast et al. 2022). As a rapidly growing open-source multi-physics simulator, GEOSX has highly scalable algorithms for solving complex fluid flow, thermal, and geomechanical coupled systems. Preliminary geological data of the targetarea has been acquired by exploratory wells (e.g., GR1, GR2, DR3, DR4). There is also a trial production well GH-01. In our model, we considered a dual-well utilization system. Our 3D model focuses on reservoir-scale THM coupling, and takes into consideration the geostress directions in configuring the faults and (hydraulic)fractures, which are explicitly handled with EDFM (Embedded Discrete Fracture Model) method. The simulated results of heat recovery efficiency under different production scenarios provide guidance information for engineering practices.
2023
Tambe T, Zhang J, Hooper C, Jia T, Whatmough PN, Zuckerman J, Santos CDM, Loscalzo EJ, Giri D, Shepard K, et al. A 12nm 18.1TFLOPs/W sparse transformer processor with entropy-based early exit, mixed-precision predication and fine-grained power management, in IEEE International Solid-State Circuits Conference (ISSCC).; 2023.
Liu Y, Chen Z, Wang Z, Zhao W, He W, Zhu J, Wang Q, Zhang N, Jia T, Ma Y, et al. A 22nm 0.43pJ/SOP sparsity-aware in-memory neuromorphic computing system with hybrid spiking and artificial neural network and configurable topology, in IEEE Custom Integrated Circuits Conference (CICC).; 2023.
Chen P, Wu M, Zhao W, Cui J, Wang Z, Zhang Y, Wang Q, Ru J, Shen L, Jia T, et al. A 22-nm delta-sigma computing-in-memory (ΔΣCIM) SRAM macro with near-zero-mean outputs and LSB-first ADCs achieving 21.38TOPS/W for 8b-MAC edge AI processing, in IEEE International Solid-State Circuits Conference (ISSCC).; 2023.
Gao J, Shen L, Li H, Ye S, Li J, Xu X, Cui J, Gao Y, HUANG R, Ye L. 23.1 A 7.9fJ/Conversion-Step and 37.12aFrms Pipelined-SAR Capacitance-to-Digital Converter with kT/C Noise Cancellation and Incomplete-Settling-Based Correlated Level Shifting, in 2023 IEEE International Solid- State Circuits Conference (ISSCC).; 2023:346-348.
Zhang Y, You Y, Ren W, Xu X, Shen L, Ru J, HUANG R, Ye L. 3.8 A 0.954nW 32kHz Crystal Oscillator in 22nm CMOS with Gm-C-Based Current Injection Control, in 2023 IEEE International Solid- State Circuits Conference (ISSCC).; 2023:68-70.
Chen X, Shoukry A, Jia T, Zhang X, Magod R, Desai N, Gu J. A 65nm fully-integrated fast-switching buck converter with resonant gate drive and automatic tracking, in IEEE Custom Integrated Circuit Conference (CICC).; 2023.

Pages